Пятница, 19.04.2024, 22:15
Микроконтроллерная техника AVR
Главная Регистрация Вход
Приветствую Вас, Гость · RSS
Меню сайта
Помоги сайту
Поддержи наш сайт
Через WebMoney
U222865683087
R391933670808
Z877043628365
E649133782052

или пополни нашу SMS копилку
SMS.копилка

Ссылки

 Каталог статей
Главная » Статьи » Учимся работать с AVR

Делаем милицейскую мигалку на ATmega8
Привет. Во время написание первой статьи я подумал,
что было бы интересно создать милицейскую мигалку (проблесковый маячок) с помощью  МК АТмега8.
Ответ - да!!

В качетсве светодиодов я рекомендую использовать 1 красный и 1 синий светодиод повышенной яркости.
Вот схема:

За основу я взял первый наш исходник и просто немножко переделал:

#include <mega8.h> //Включаем библиотеку для работы с микроконтроллером ATMega8
#include <delay.h> //Включаем библиотеку для организации задержек
void main(void)
{       
 
int i;  // описание переменной "і" как целое число Int - (Integer)

PORTD=0x00; //Выставляем все выходы порта D на 0, то есть, выключаем весь порт D
DDRD=0xFF;  //Делаем порт D, как выход, чтобы на выходах порта было напряжение 5В

while (1)  //Организовываем бесконечный цикл

      {
     
        for (i = 0; i<4 ; i++)               // Цикл мигание первого светодиода, 4 раза
         {
         PORTD.0=1; //Включаем светодиод на 0 выходе порта D.0

         delay_ms(50); //Делаем задержку на 50 миллисекунд

         PORTD.0=0; //Выключаем светодиод на 0 выходе порта D.0

         delay_ms(50); //Делаем задержку на 50 миллисекунд
         }
     
//----------------------------------------------------------//
      
       delay_ms(200);      // Задержка между мерцаниями, 200 мс

//---------------------------------------------------------//
      for (i = 0; i<4 ; i++)            // Мигание второго светодиода, 4 раза
        {
         PORTD.1=1; //Включаем светодиод на 0 выходе порта D.1
      
         delay_ms(50); //Делаем задержку на 50 миллисекунд
      
         PORTD.1=0; //Выключаем светодиод на 0 выходе порта D.1

         delay_ms(50); //Делаем задержку на 50 миллисекунд
         }
    
       delay_ms(200);      // Задержка между мерцаниями, 200 мс
     };

}

<font color="#ff0000"><span style="font-size: 14pt;">Не забудьте подключить питание (+5v 7 ножка МК; GND(корпус) 8 ножка МК),
кварц и конденсаторы не нужно подключать, вместо этого прошейте фюзы как показано в предыдущей статье. </span>
</font>

Категория: Учимся работать с AVR | Добавил: my-avr (11.08.2008)
Просмотров: 34645 | Комментарии: 13 | Рейтинг: 5.0/5 |
Всего комментариев: 13
12 Дымок  
0
А у меня что-то не получилось :((( Не хотят мигать светики.

11 evgeshka7777  
0
исключите задержки в 200мс и измените на 5 мс задержку между включениями-выключениями.будет уже что-то похожее!

10 Саня  
0
насчет скважности, люди, просветите пожалуйста как можно НЕ программно- эксперимантальным (тупо подбирать) методом задать скважность, к примеру, 4?? либо подобную схему реализовать на логике???
заранее благодарен.

7 vikadavidenko  
0
прикинте язделала по данной схеме мигалку :-)

13 kirdysheva  
0
Здравствуйте! сможете скинуть рабочий файл?

6 хАхол  
0
karton, Maliner - как я вам завидую, вы ведь родились с атмегами и пик-ами в подгузниках) на свои вещи ссылочку подкиньте, а-то я, да я...

5 karton  
0
не тратьте зря микруху на это говно.мигалки можно сделать на мультивибраторе.вот книга там устройства посолидней описаны и все приложено к ним http://depositfiles.com/files/brtslp97p

9 my-avr  
0
Статья написана для обучения в программировании МК.

4 Valentin A.  
0
Подскажите , светодиоды должны гореть одновременно или 4 раза ПЕРВЫЙ пауза 4 раза ВТОРОЙ ? У меня сейчас одновременно 4 раза(ОБА) пауза 4 раза(ОБА) ...

8 my-avr  
0
4 зара мерцает первый пауза
4 воторой мерцает, пауза...
и это все в бесконечном цикле

3 Maliner  
0
Бывают в жизни огорченья biggrin
Но это не тот случай smile

2 Вано  
0
А вы не могли бы подкинуть схемку на Atmega 8, какого нибудь генератора, что бы и модуляция и скважность была????а частота в пределах от 1 гц до 300 гц???????пожалуйста очень надо!зараннее спасибо!

1 Владимир В.  
0
Напиши статью о ШИМ(Широтно Импульсная Модуляция). С ней можно будет плавные свечения светодиодов сделать, к примеру "песочные часы"!!!!

Добавлять комментарии могут только зарегистрированные пользователи.
[ Регистрация | Вход ]
Copyright My-AVR © 2024
Наша кнопка
У нас появилась кнопка, код кнопки:

Лаборатория самоделок на микроконтроллерах AVR

Форма входа
Наш опрос
Какой МК вы используете?
Всего ответов: 3228
Статистика/Друзья
CY-PR.com



Онлайн всего: 1
Гостей: 1
Пользователей: 0

РадиоКОТ - популярно об электронике. Авторские схемы, новые разработки. Обучение по электронике, микроконтроллерам, ПЛИС. Форум Сайт по радиоэлектронике. У нас все просто и интересно… ARV Reserch: Электроника — просто, полезно, увлекательно. Электронные устройства и программные средства для радиолюбителей и профессионалов, только авторские разработки, плагиата нет! Разработки на заказ. AVR projects Создание электронных роботов своими руками Схемы и программы на AVR http://ur5yfv.ucoz.ua/BANNER.gif Блог начинающего эмбеддера. Дай волю паяльнику! Самоделки на all-make.ru Принципиальные схемы Модулі автозапуску бензогенератора та системи АВР, електромонтажні роботи
Хостинг от uCoz